OpenCores
URL https://opencores.org/ocsvn/spacewire/spacewire/trunk

Subversion Repositories spacewire

[/] [spacewire/] [trunk/] [tb/] [tb_LSer.tf] - Blame information for rev 27

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 btltz
// F:\XILINX\MY-PROJECTS\SPACEWIRE_1355
2
// Verilog Test fixture created by
3
// HDL Bencher 6.1i
4
// Wed Apr 27 10:28:05 2005
5
//
6
// Notes:
7
// 1) This test fixture has been automatically generated from
8
//   your Test Bench Waveform
9
// 2) To use this as a user modifiable test fixture do the following:
10
//   - Save it as a file with a .tf extension (i.e. File->Save As...)
11
//   - Add it to your project as a testbench source (i.e. Project->Add Source...)
12
//
13
 
14
`timescale 1ns/1ns
15
 
16
module tb_LSer;
17
        wire rd_IBUF_o;
18
        reg [8:0] SpW_di;
19
        reg empty_IBUF_i;
20
        wire tab_d0_o;
21
        reg try_msb;
22
        reg [16:0] tab_di;
23
        reg we_tab_i;
24
        reg [7:0] tab_WrAddr_i;
25
        reg [3:0] org_line_i;
26
        wire [15:0] we_cell_o;
27
        wire [3:0] cfg_SMX_o;
28
        reg [15:0] full_cell_i;
29
        reg reset;
30
        reg gclk;
31
        reg GSR;        //Global signal, this was added automatically
32
 
33
        assign glbl.GSR = GSR;
34
        /*
35
        defparam UUT.IF_PORTNUM = 16;
36
        defparam UUT.IO_DW = 10;
37
        defparam UUT.TRY_DW = 4;
38
        defparam UUT.ORG_AW = 4;
39
        defparam UUT.TAB_DW = 17;
40
        defparam UUT.EOP = 256;
41
        defparam UUT.EEP = 257;
42
        defparam UUT.HEADS_Cargo = 0;
43
        defparam UUT.STATE_NUM = 8;
44
        defparam UUT.IDLE = 1;
45
        defparam UUT.JUDGE_HEAD = 2;
46
        defparam UUT.DEL_HEAD = 4;
47
        defparam UUT.TRY_ORG_COL = 8;
48
        defparam UUT.INCR_TRY_COL = 16;
49
        defparam UUT.DECR_TRY_COL = 32;
50
        defparam UUT.DISTRIBUTING = 64;
51
        defparam UUT.GRAB_CELL_WAIT = 128;
52
        defparam UUT.HCW = 5;
53
        defparam UUT.True = 1;
54
        defparam UUT.False = 0; */
55
 
56
        LSer UUT (
57
                .rd_IBUF_o(rd_IBUF_o),
58
                .SpW_di(SpW_di),
59
                .empty_IBUF_i(empty_IBUF_i),
60
                .tab_d0_o(tab_d0_o),
61
                .try_msb(try_msb),
62
                .tab_di(tab_di),
63
                .we_tab_i(we_tab_i),
64
                .tab_WrAddr_i(tab_WrAddr_i),
65
                .org_line_i(org_line_i),
66
                .we_cell_o(we_cell_o),
67
                .cfg_SMX_o(cfg_SMX_o),
68
                .full_cell_i(full_cell_i),
69
                .reset(reset),
70
                .gclk(gclk)
71
        );
72
 
73
        integer TX_FILE;
74
        integer TX_ERROR;
75
 
76
always
77
begin                   //clock process
78
        gclk = 1'b0;
79
        #10
80
        gclk = 1'b1;
81
        #20
82
        #30
83
        gclk = 1'b0;
84
        #40
85
        gclk = 1'b0;
86
end
87
 
88
initial
89
begin
90
        GSR = 1;
91
        #100 GSR = 0;
92
end
93
 
94
initial
95
begin
96
        TX_ERROR=0;
97
        TX_FILE=$fopen("results.txt");
98
 
99
        // --------------------
100
        SpW_di = 9'b000000000; //0
101
        empty_IBUF_i = 1'b1;
102
        try_msb = 1'b0;
103
        tab_di = 17'b00000000000000000; //0
104
        we_tab_i = 1'b0;
105
        tab_WrAddr_i = 8'b00000000; //0
106
        org_line_i = 4'b0000; //0
107
        full_cell_i = 16'b0000000000000000; //0
108
        reset = 1'b1;
109
        // --------------------
110
        #300 // Time=300 ns
111
        org_line_i = 4'b0101; //5
112
        reset = 1'b0;
113
        // --------------------
114
        #200 // Time=500 ns
115
        tab_di = 17'b00000000000000001; //1
116
        we_tab_i = 1'b1;
117
        tab_WrAddr_i = 8'b00000000; //0
118
        // --------------------
119
        #100 // Time=600 ns
120
        tab_di = 17'b00000000000000010; //2
121
        tab_WrAddr_i = 8'b00000001; //1
122
        // --------------------
123
        #100 // Time=700 ns
124
        tab_di = 17'b00000000000000100; //4
125
        tab_WrAddr_i = 8'b00000010; //2
126
        // --------------------
127
        #100 // Time=800 ns
128
        tab_di = 17'b00000000000001000; //8
129
        tab_WrAddr_i = 8'b00000011; //3
130
        // --------------------
131
        #100 // Time=900 ns
132
        tab_di = 17'b00000000000010000; //10
133
        tab_WrAddr_i = 8'b00000100; //4
134
        // --------------------
135
        #100 // Time=1000 ns
136
        tab_di = 17'b00000000000100000; //20
137
        tab_WrAddr_i = 8'b00000101; //5
138
        // --------------------
139
        #100 // Time=1100 ns
140
        tab_di = 17'b00000000001000000; //40
141
        tab_WrAddr_i = 8'b00000110; //6
142
        // --------------------
143
        #100 // Time=1200 ns
144
        tab_di = 17'b00000000010000000; //80
145
        tab_WrAddr_i = 8'b00000111; //7
146
        // --------------------
147
        #100 // Time=1300 ns
148
        tab_di = 17'b00000000100000000; //100
149
        tab_WrAddr_i = 8'b00001000; //8
150
        // --------------------
151
        #100 // Time=1400 ns
152
        tab_di = 17'b00000001000000000; //200
153
        tab_WrAddr_i = 8'b00001001; //9
154
        // --------------------
155
        #100 // Time=1500 ns
156
        tab_di = 17'b00000010000000000; //400
157
        tab_WrAddr_i = 8'b00001010; //A
158
        // --------------------
159
        #100 // Time=1600 ns
160
        tab_di = 17'b00000100000000000; //800
161
        tab_WrAddr_i = 8'b00001011; //B
162
        // --------------------
163
        #100 // Time=1700 ns
164
        tab_di = 17'b00001000000000000; //1000
165
        tab_WrAddr_i = 8'b00001100; //C
166
        // --------------------
167
        #100 // Time=1800 ns
168
        tab_di = 17'b00010000000000000; //2000
169
        tab_WrAddr_i = 8'b00001101; //D
170
        // --------------------
171
        #100 // Time=1900 ns
172
        tab_di = 17'b00100000000000000; //4000
173
        tab_WrAddr_i = 8'b00001110; //E
174
        // --------------------
175
        #100 // Time=2000 ns
176
        tab_di = 17'b01000000000000000; //8000
177
        tab_WrAddr_i = 8'b00001111; //F
178
        // --------------------
179
        #100 // Time=2100 ns
180
        tab_di = 17'b10000000000000000; //10000
181
        tab_WrAddr_i = 8'b00010000; //10
182
        // --------------------
183
        #100 // Time=2200 ns
184
        tab_di = 17'b00000000000000000; //0
185
        tab_WrAddr_i = 8'b00010001; //11
186
        // --------------------
187
        #100 // Time=2300 ns
188
        tab_WrAddr_i = 8'b00010010; //12
189
        // --------------------
190
        #100 // Time=2400 ns
191
        tab_WrAddr_i = 8'b00010011; //13
192
        // --------------------
193
        #100 // Time=2500 ns
194
        tab_WrAddr_i = 8'b00010100; //14
195
        // --------------------
196
        #100 // Time=2600 ns
197
        tab_WrAddr_i = 8'b00010101; //15
198
        // --------------------
199
        #100 // Time=2700 ns
200
        tab_WrAddr_i = 8'b00010110; //16
201
        // --------------------
202
        #100 // Time=2800 ns
203
        tab_WrAddr_i = 8'b00010111; //17
204
        // --------------------
205
        #100 // Time=2900 ns
206
        tab_WrAddr_i = 8'b00011000; //18
207
        // --------------------
208
        #100 // Time=3000 ns
209
        tab_WrAddr_i = 8'b00011001; //19
210
        // --------------------
211
        #100 // Time=3100 ns
212
        tab_WrAddr_i = 8'b00011010; //1A
213
        // --------------------
214
        #100 // Time=3200 ns
215
        tab_WrAddr_i = 8'b00011011; //1B
216
        // --------------------
217
        #100 // Time=3300 ns
218
        tab_WrAddr_i = 8'b00011100; //1C
219
        // --------------------
220
        #100 // Time=3400 ns
221
        tab_WrAddr_i = 8'b00011101; //1D
222
        // --------------------
223
        #100 // Time=3500 ns
224
        tab_WrAddr_i = 8'b00011110; //1E
225
        // --------------------
226
        #100 // Time=3600 ns
227
        tab_di = 17'b00000000000000000; //0
228
        tab_WrAddr_i = 8'b00011111; //1F
229
        // --------------------
230
        #100 // Time=3700 ns
231
        tab_di = 17'b00000000010001000; //88
232
        tab_WrAddr_i = 8'b00100000; //20
233
        // --------------------
234
        #100 // Time=3800 ns
235
        tab_di = 17'b00000000010011010; //9A
236
        tab_WrAddr_i = 8'b00100001; //21
237
        // --------------------
238
        #100 // Time=3900 ns
239
        tab_di = 17'b00000000010101100; //AC
240
        tab_WrAddr_i = 8'b00100010; //22
241
        // --------------------
242
        #100 // Time=4000 ns
243
        tab_di = 17'b00000000010111110; //BE
244
        tab_WrAddr_i = 8'b00100011; //23
245
        // --------------------
246
        #100 // Time=4100 ns
247
        tab_di = 17'b00000000011010000; //D0
248
        tab_WrAddr_i = 8'b00100100; //24
249
        // --------------------
250
        #100 // Time=4200 ns
251
        tab_di = 17'b00000000011100010; //E2
252
        tab_WrAddr_i = 8'b00100101; //25
253
        // --------------------
254
        #100 // Time=4300 ns
255
        tab_di = 17'b00000000010010100; //94
256
        tab_WrAddr_i = 8'b00100110; //26
257
        // --------------------
258
        #100 // Time=4400 ns
259
        tab_di = 17'b00000000010001000; //88
260
        tab_WrAddr_i = 8'b00100111; //27
261
        // --------------------
262
        #100 // Time=4500 ns
263
        tab_di = 17'b00000000010011010; //9A
264
        tab_WrAddr_i = 8'b00101000; //28
265
        // --------------------
266
        #100 // Time=4600 ns
267
        tab_di = 17'b00000000010101100; //AC
268
        tab_WrAddr_i = 8'b00101001; //29
269
        // --------------------
270
        #100 // Time=4700 ns
271
        tab_di = 17'b00000000010100110; //A6
272
        tab_WrAddr_i = 8'b00101010; //2A
273
        // --------------------
274
        #100 // Time=4800 ns
275
        tab_di = 17'b00000000011010000; //D0
276
        tab_WrAddr_i = 8'b00101011; //2B
277
        // --------------------
278
        #100 // Time=4900 ns
279
        tab_di = 17'b00000000011100010; //E2
280
        tab_WrAddr_i = 8'b00101100; //2C
281
        // --------------------
282
        #100 // Time=5000 ns
283
        tab_di = 17'b00000000011110100; //F4
284
        tab_WrAddr_i = 8'b00101101; //2D
285
        // --------------------
286
        #100 // Time=5100 ns
287
        tab_di = 17'b00000000010001000; //88
288
        tab_WrAddr_i = 8'b00101110; //2E
289
        // --------------------
290
        #100 // Time=5200 ns
291
        tab_di = 17'b00000000010011010; //9A
292
        tab_WrAddr_i = 8'b00101111; //2F
293
        // --------------------
294
        #100 // Time=5300 ns
295
        tab_di = 17'b00000000010101100; //AC
296
        tab_WrAddr_i = 8'b00110000; //30
297
        // --------------------
298
        #100 // Time=5400 ns
299
        tab_di = 17'b00000000010111110; //BE
300
        tab_WrAddr_i = 8'b00110001; //31
301
        // --------------------
302
        #100 // Time=5500 ns
303
        tab_di = 17'b00000000011010000; //D0
304
        tab_WrAddr_i = 8'b00110010; //32
305
        // --------------------
306
        #100 // Time=5600 ns
307
        tab_di = 17'b00000000011100010; //E2
308
        tab_WrAddr_i = 8'b00110011; //33
309
        // --------------------
310
        #100 // Time=5700 ns
311
        tab_di = 17'b00000000011110100; //F4
312
        tab_WrAddr_i = 8'b00110100; //34
313
        // --------------------
314
        #100 // Time=5800 ns
315
        tab_di = 17'b00000000010001000; //88
316
        tab_WrAddr_i = 8'b00110101; //35
317
        // --------------------
318
        #100 // Time=5900 ns
319
        tab_di = 17'b00000000010011010; //9A
320
        tab_WrAddr_i = 8'b00110110; //36
321
        // --------------------
322
        #100 // Time=6000 ns
323
        tab_di = 17'b00000000010101100; //AC
324
        tab_WrAddr_i = 8'b00110111; //37
325
        // --------------------
326
        #100 // Time=6100 ns
327
        tab_di = 17'b00000000010111110; //BE
328
        tab_WrAddr_i = 8'b00111000; //38
329
        // --------------------
330
        #100 // Time=6200 ns
331
        tab_di = 17'b00000000011010000; //D0
332
        tab_WrAddr_i = 8'b00111001; //39
333
        // --------------------
334
        #100 // Time=6300 ns
335
        tab_di = 17'b00000000011100010; //E2
336
        tab_WrAddr_i = 8'b00111010; //3A
337
        // --------------------
338
        #100 // Time=6400 ns
339
        tab_di = 17'b00000000011110100; //F4
340
        tab_WrAddr_i = 8'b00111011; //3B
341
        // --------------------
342
        #100 // Time=6500 ns
343
        tab_di = 17'b00000000010001000; //88
344
        tab_WrAddr_i = 8'b00111100; //3C
345
        // --------------------
346
        #100 // Time=6600 ns
347
        tab_di = 17'b00000000010011010; //9A
348
        tab_WrAddr_i = 8'b00111101; //3D
349
        // --------------------
350
        #100 // Time=6700 ns
351
        tab_di = 17'b00000000010101100; //AC
352
        tab_WrAddr_i = 8'b00111110; //3E
353
        // --------------------
354
        #100 // Time=6800 ns
355
        tab_di = 17'b00000000010111110; //BE
356
        tab_WrAddr_i = 8'b00111111; //3F
357
        // --------------------
358
        #100 // Time=6900 ns
359
        tab_di = 17'b00000000011010000; //D0
360
        tab_WrAddr_i = 8'b01000000; //40
361
        // --------------------
362
        #100 // Time=7000 ns
363
        tab_di = 17'b00000000011100010; //E2
364
        tab_WrAddr_i = 8'b01000001; //41
365
        // --------------------
366
        #100 // Time=7100 ns
367
        tab_di = 17'b00000000011110100; //F4
368
        tab_WrAddr_i = 8'b01000010; //42
369
        // --------------------
370
        #100 // Time=7200 ns
371
        tab_di = 17'b00000000010001000; //88
372
        tab_WrAddr_i = 8'b01000011; //43
373
        // --------------------
374
        #100 // Time=7300 ns
375
        tab_di = 17'b00000000010011010; //9A
376
        tab_WrAddr_i = 8'b01000100; //44
377
        // --------------------
378
        #100 // Time=7400 ns
379
        tab_di = 17'b00000000010101100; //AC
380
        tab_WrAddr_i = 8'b01000101; //45
381
        // --------------------
382
        #100 // Time=7500 ns
383
        tab_di = 17'b00000000010111110; //BE
384
        tab_WrAddr_i = 8'b01000110; //46
385
        // --------------------
386
        #100 // Time=7600 ns
387
        tab_di = 17'b00000000011010000; //D0
388
        tab_WrAddr_i = 8'b01000111; //47
389
        // --------------------
390
        #100 // Time=7700 ns
391
        tab_di = 17'b00000000011100010; //E2
392
        tab_WrAddr_i = 8'b01001000; //48
393
        // --------------------
394
        #100 // Time=7800 ns
395
        tab_di = 17'b00000000011110100; //F4
396
        tab_WrAddr_i = 8'b01001001; //49
397
        // --------------------
398
        #100 // Time=7900 ns
399
        tab_di = 17'b00000000010001000; //88
400
        tab_WrAddr_i = 8'b01001010; //4A
401
        // --------------------
402
        #100 // Time=8000 ns
403
        tab_di = 17'b00000000010011010; //9A
404
        tab_WrAddr_i = 8'b01001011; //4B
405
        // --------------------
406
        #100 // Time=8100 ns
407
        tab_di = 17'b00000000010101100; //AC
408
        tab_WrAddr_i = 8'b01001100; //4C
409
        // --------------------
410
        #100 // Time=8200 ns
411
        tab_di = 17'b00000000010111110; //BE
412
        tab_WrAddr_i = 8'b01001101; //4D
413
        // --------------------
414
        #100 // Time=8300 ns
415
        tab_di = 17'b00000000011010000; //D0
416
        tab_WrAddr_i = 8'b01001110; //4E
417
        // --------------------
418
        #100 // Time=8400 ns
419
        tab_di = 17'b00000000011100010; //E2
420
        tab_WrAddr_i = 8'b01001111; //4F
421
        // --------------------
422
        #100 // Time=8500 ns
423
        tab_di = 17'b00000000011110100; //F4
424
        tab_WrAddr_i = 8'b01010000; //50
425
        // --------------------
426
        #100 // Time=8600 ns
427
        tab_di = 17'b00000000010001000; //88
428
        tab_WrAddr_i = 8'b01010001; //51
429
        // --------------------
430
        #100 // Time=8700 ns
431
        tab_di = 17'b00000000010011010; //9A
432
        tab_WrAddr_i = 8'b01010010; //52
433
        // --------------------
434
        #100 // Time=8800 ns
435
        tab_di = 17'b00000000010101100; //AC
436
        tab_WrAddr_i = 8'b01010011; //53
437
        // --------------------
438
        #100 // Time=8900 ns
439
        tab_di = 17'b00000000010111110; //BE
440
        tab_WrAddr_i = 8'b01010100; //54
441
        // --------------------
442
        #100 // Time=9000 ns
443
        tab_di = 17'b00000000011010000; //D0
444
        tab_WrAddr_i = 8'b01010101; //55
445
        // --------------------
446
        #100 // Time=9100 ns
447
        tab_di = 17'b00000000011100010; //E2
448
        tab_WrAddr_i = 8'b01010110; //56
449
        // --------------------
450
        #100 // Time=9200 ns
451
        tab_di = 17'b00000000011110100; //F4
452
        tab_WrAddr_i = 8'b01010111; //57
453
        // --------------------
454
        #100 // Time=9300 ns
455
        tab_di = 17'b00000000010001000; //88
456
        tab_WrAddr_i = 8'b01011000; //58
457
        // --------------------
458
        #100 // Time=9400 ns
459
        tab_di = 17'b00000000010011010; //9A
460
        tab_WrAddr_i = 8'b01011001; //59
461
        // --------------------
462
        #100 // Time=9500 ns
463
        tab_di = 17'b00000000010101100; //AC
464
        tab_WrAddr_i = 8'b01011010; //5A
465
        // --------------------
466
        #100 // Time=9600 ns
467
        tab_di = 17'b00000000010111110; //BE
468
        tab_WrAddr_i = 8'b01011011; //5B
469
        // --------------------
470
        #100 // Time=9700 ns
471
        tab_di = 17'b00000000011010000; //D0
472
        tab_WrAddr_i = 8'b01011100; //5C
473
        // --------------------
474
        #100 // Time=9800 ns
475
        tab_di = 17'b00000000011100010; //E2
476
        tab_WrAddr_i = 8'b01011101; //5D
477
        // --------------------
478
        #100 // Time=9900 ns
479
        tab_di = 17'b00000000011110100; //F4
480
        tab_WrAddr_i = 8'b01011110; //5E
481
        // --------------------
482
        #100 // Time=10000 ns
483
        tab_di = 17'b00000000010001000; //88
484
        tab_WrAddr_i = 8'b01011111; //5F
485
        // --------------------
486
        #100 // Time=10100 ns
487
        tab_di = 17'b00000000010011010; //9A
488
        tab_WrAddr_i = 8'b01100000; //60
489
        // --------------------
490
        #100 // Time=10200 ns
491
        tab_di = 17'b00000000010101100; //AC
492
        tab_WrAddr_i = 8'b01100001; //61
493
        // --------------------
494
        #100 // Time=10300 ns
495
        tab_di = 17'b00000000010111110; //BE
496
        tab_WrAddr_i = 8'b01100010; //62
497
        // --------------------
498
        #100 // Time=10400 ns
499
        tab_di = 17'b00000000011010000; //D0
500
        tab_WrAddr_i = 8'b01100011; //63
501
        // --------------------
502
        #100 // Time=10500 ns
503
        tab_di = 17'b00000000011100010; //E2
504
        tab_WrAddr_i = 8'b01100100; //64
505
        // --------------------
506
        #100 // Time=10600 ns
507
        tab_di = 17'b00000000011110100; //F4
508
        tab_WrAddr_i = 8'b01100101; //65
509
        // --------------------
510
        #100 // Time=10700 ns
511
        tab_di = 17'b00000000010001000; //88
512
        tab_WrAddr_i = 8'b01100110; //66
513
        // --------------------
514
        #100 // Time=10800 ns
515
        tab_di = 17'b00000000010011010; //9A
516
        tab_WrAddr_i = 8'b01100111; //67
517
        // --------------------
518
        #100 // Time=10900 ns
519
        tab_di = 17'b00000000010101100; //AC
520
        tab_WrAddr_i = 8'b01101000; //68
521
        // --------------------
522
        #100 // Time=11000 ns
523
        tab_di = 17'b00000000010111110; //BE
524
        tab_WrAddr_i = 8'b01101001; //69
525
        // --------------------
526
        #100 // Time=11100 ns
527
        tab_di = 17'b00000000011010000; //D0
528
        tab_WrAddr_i = 8'b01101010; //6A
529
        // --------------------
530
        #100 // Time=11200 ns
531
        tab_di = 17'b00000000011100010; //E2
532
        tab_WrAddr_i = 8'b01101011; //6B
533
        // --------------------
534
        #100 // Time=11300 ns
535
        tab_di = 17'b00000000011110100; //F4
536
        tab_WrAddr_i = 8'b01101100; //6C
537
        // --------------------
538
        #100 // Time=11400 ns
539
        tab_di = 17'b00000000010001000; //88
540
        tab_WrAddr_i = 8'b01101101; //6D
541
        // --------------------
542
        #100 // Time=11500 ns
543
        tab_di = 17'b00000000010011010; //9A
544
        tab_WrAddr_i = 8'b01101110; //6E
545
        // --------------------
546
        #100 // Time=11600 ns
547
        tab_di = 17'b00000000010101100; //AC
548
        tab_WrAddr_i = 8'b01101111; //6F
549
        // --------------------
550
        #100 // Time=11700 ns
551
        tab_di = 17'b00000000010111110; //BE
552
        tab_WrAddr_i = 8'b01110000; //70
553
        // --------------------
554
        #100 // Time=11800 ns
555
        tab_di = 17'b00000000011010000; //D0
556
        tab_WrAddr_i = 8'b01110001; //71
557
        // --------------------
558
        #100 // Time=11900 ns
559
        tab_di = 17'b00000000011100010; //E2
560
        tab_WrAddr_i = 8'b01110010; //72
561
        // --------------------
562
        #100 // Time=12000 ns
563
        tab_di = 17'b00000000011110100; //F4
564
        tab_WrAddr_i = 8'b01110011; //73
565
        // --------------------
566
        #100 // Time=12100 ns
567
        tab_di = 17'b00000000010001000; //88
568
        tab_WrAddr_i = 8'b01110100; //74
569
        // --------------------
570
        #100 // Time=12200 ns
571
        tab_di = 17'b00000000010011010; //9A
572
        tab_WrAddr_i = 8'b01110101; //75
573
        // --------------------
574
        #100 // Time=12300 ns
575
        tab_di = 17'b00000000010101100; //AC
576
        tab_WrAddr_i = 8'b01110110; //76
577
        // --------------------
578
        #100 // Time=12400 ns
579
        tab_di = 17'b00000000010111110; //BE
580
        tab_WrAddr_i = 8'b01110111; //77
581
        // --------------------
582
        #100 // Time=12500 ns
583
        tab_di = 17'b00000000011010000; //D0
584
        tab_WrAddr_i = 8'b01111000; //78
585
        // --------------------
586
        #100 // Time=12600 ns
587
        tab_di = 17'b00000000011100010; //E2
588
        tab_WrAddr_i = 8'b01111001; //79
589
        // --------------------
590
        #100 // Time=12700 ns
591
        tab_di = 17'b00000000011110100; //F4
592
        tab_WrAddr_i = 8'b01111010; //7A
593
        // --------------------
594
        #100 // Time=12800 ns
595
        tab_di = 17'b00000000010001000; //88
596
        tab_WrAddr_i = 8'b01111011; //7B
597
        // --------------------
598
        #100 // Time=12900 ns
599
        tab_di = 17'b00000000010011010; //9A
600
        tab_WrAddr_i = 8'b01111100; //7C
601
        // --------------------
602
        #100 // Time=13000 ns
603
        tab_di = 17'b00000000010101100; //AC
604
        tab_WrAddr_i = 8'b01111101; //7D
605
        // --------------------
606
        #100 // Time=13100 ns
607
        tab_di = 17'b00000000010111110; //BE
608
        tab_WrAddr_i = 8'b01111110; //7E
609
        // --------------------
610
        #100 // Time=13200 ns
611
        tab_di = 17'b00000000011010000; //D0
612
        tab_WrAddr_i = 8'b01111111; //7F
613
        // --------------------
614
        #100 // Time=13300 ns
615
        tab_di = 17'b00000000011100010; //E2
616
        tab_WrAddr_i = 8'b10000000; //80
617
        // --------------------
618
        #100 // Time=13400 ns
619
        tab_di = 17'b00000000011110100; //F4
620
        tab_WrAddr_i = 8'b10000001; //81
621
        // --------------------
622
        #100 // Time=13500 ns
623
        tab_di = 17'b00000000010001000; //88
624
        tab_WrAddr_i = 8'b10000010; //82
625
        // --------------------
626
        #100 // Time=13600 ns
627
        tab_di = 17'b00000000010011010; //9A
628
        tab_WrAddr_i = 8'b10000011; //83
629
        // --------------------
630
        #100 // Time=13700 ns
631
        tab_di = 17'b00000000010101100; //AC
632
        tab_WrAddr_i = 8'b10000100; //84
633
        // --------------------
634
        #100 // Time=13800 ns
635
        tab_di = 17'b00000000010111110; //BE
636
        tab_WrAddr_i = 8'b10000101; //85
637
        // --------------------
638
        #100 // Time=13900 ns
639
        tab_di = 17'b00000000011010000; //D0
640
        tab_WrAddr_i = 8'b10000110; //86
641
        // --------------------
642
        #100 // Time=14000 ns
643
        tab_di = 17'b00000000011100010; //E2
644
        tab_WrAddr_i = 8'b10000111; //87
645
        // --------------------
646
        #100 // Time=14100 ns
647
        tab_di = 17'b00000000011110100; //F4
648
        tab_WrAddr_i = 8'b10001000; //88
649
        // --------------------
650
        #100 // Time=14200 ns
651
        tab_di = 17'b00000000010001000; //88
652
        tab_WrAddr_i = 8'b10001001; //89
653
        // --------------------
654
        #100 // Time=14300 ns
655
        tab_di = 17'b00000000010011010; //9A
656
        tab_WrAddr_i = 8'b10001010; //8A
657
        // --------------------
658
        #100 // Time=14400 ns
659
        tab_di = 17'b00000000010101100; //AC
660
        tab_WrAddr_i = 8'b10001011; //8B
661
        // --------------------
662
        #100 // Time=14500 ns
663
        tab_di = 17'b00000000010111110; //BE
664
        tab_WrAddr_i = 8'b10001100; //8C
665
        // --------------------
666
        #100 // Time=14600 ns
667
        tab_di = 17'b00000000011010000; //D0
668
        tab_WrAddr_i = 8'b10001101; //8D
669
        // --------------------
670
        #100 // Time=14700 ns
671
        tab_di = 17'b00000000011100010; //E2
672
        tab_WrAddr_i = 8'b10001110; //8E
673
        // --------------------
674
        #100 // Time=14800 ns
675
        tab_di = 17'b00000000011110100; //F4
676
        tab_WrAddr_i = 8'b10001111; //8F
677
        // --------------------
678
        #100 // Time=14900 ns
679
        tab_di = 17'b00000000010001000; //88
680
        tab_WrAddr_i = 8'b10010000; //90
681
        // --------------------
682
        #100 // Time=15000 ns
683
        tab_di = 17'b00000000010011010; //9A
684
        tab_WrAddr_i = 8'b10010001; //91
685
        // --------------------
686
        #100 // Time=15100 ns
687
        tab_di = 17'b00000000010101100; //AC
688
        tab_WrAddr_i = 8'b10010010; //92
689
        // --------------------
690
        #100 // Time=15200 ns
691
        tab_di = 17'b00000000010111110; //BE
692
        tab_WrAddr_i = 8'b10010011; //93
693
        // --------------------
694
        #100 // Time=15300 ns
695
        tab_di = 17'b00000000011010000; //D0
696
        tab_WrAddr_i = 8'b10010100; //94
697
        // --------------------
698
        #100 // Time=15400 ns
699
        tab_di = 17'b00000000011100010; //E2
700
        tab_WrAddr_i = 8'b10010101; //95
701
        // --------------------
702
        #100 // Time=15500 ns
703
        tab_di = 17'b00000000011110100; //F4
704
        tab_WrAddr_i = 8'b10010110; //96
705
        // --------------------
706
        #100 // Time=15600 ns
707
        tab_di = 17'b00000000010001000; //88
708
        tab_WrAddr_i = 8'b10010111; //97
709
        // --------------------
710
        #100 // Time=15700 ns
711
        tab_di = 17'b00000000010011010; //9A
712
        tab_WrAddr_i = 8'b10011000; //98
713
        // --------------------
714
        #100 // Time=15800 ns
715
        tab_di = 17'b00000000010101100; //AC
716
        tab_WrAddr_i = 8'b10011001; //99
717
        // --------------------
718
        #100 // Time=15900 ns
719
        tab_di = 17'b00000000010111110; //BE
720
        tab_WrAddr_i = 8'b10011010; //9A
721
        // --------------------
722
        #100 // Time=16000 ns
723
        tab_di = 17'b00000000011010000; //D0
724
        tab_WrAddr_i = 8'b10011011; //9B
725
        // --------------------
726
        #100 // Time=16100 ns
727
        tab_di = 17'b00000000011100010; //E2
728
        tab_WrAddr_i = 8'b10011100; //9C
729
        // --------------------
730
        #100 // Time=16200 ns
731
        tab_di = 17'b00000000011110100; //F4
732
        tab_WrAddr_i = 8'b10011101; //9D
733
        // --------------------
734
        #100 // Time=16300 ns
735
        tab_di = 17'b00000000010001000; //88
736
        tab_WrAddr_i = 8'b10011110; //9E
737
        // --------------------
738
        #100 // Time=16400 ns
739
        tab_di = 17'b00000000010011010; //9A
740
        tab_WrAddr_i = 8'b10011111; //9F
741
        // --------------------
742
        #100 // Time=16500 ns
743
        tab_di = 17'b00000000010101100; //AC
744
        tab_WrAddr_i = 8'b10100000; //A0
745
        // --------------------
746
        #100 // Time=16600 ns
747
        tab_di = 17'b00000000010111110; //BE
748
        tab_WrAddr_i = 8'b10100001; //A1
749
        // --------------------
750
        #100 // Time=16700 ns
751
        tab_di = 17'b00000000011010000; //D0
752
        tab_WrAddr_i = 8'b10100010; //A2
753
        // --------------------
754
        #100 // Time=16800 ns
755
        tab_di = 17'b00000000011100010; //E2
756
        tab_WrAddr_i = 8'b10100011; //A3
757
        // --------------------
758
        #100 // Time=16900 ns
759
        tab_di = 17'b00000000011110100; //F4
760
        tab_WrAddr_i = 8'b10100100; //A4
761
        // --------------------
762
        #100 // Time=17000 ns
763
        tab_di = 17'b00000000010001000; //88
764
        tab_WrAddr_i = 8'b10100101; //A5
765
        // --------------------
766
        #100 // Time=17100 ns
767
        tab_di = 17'b00000000010011010; //9A
768
        tab_WrAddr_i = 8'b10100110; //A6
769
        // --------------------
770
        #100 // Time=17200 ns
771
        tab_di = 17'b00000000010101100; //AC
772
        tab_WrAddr_i = 8'b10100111; //A7
773
        // --------------------
774
        #100 // Time=17300 ns
775
        tab_di = 17'b00000000010111110; //BE
776
        tab_WrAddr_i = 8'b10101000; //A8
777
        // --------------------
778
        #100 // Time=17400 ns
779
        tab_di = 17'b00000000011010000; //D0
780
        tab_WrAddr_i = 8'b10101001; //A9
781
        // --------------------
782
        #100 // Time=17500 ns
783
        tab_di = 17'b00000000011100010; //E2
784
        tab_WrAddr_i = 8'b10101010; //AA
785
        // --------------------
786
        #100 // Time=17600 ns
787
        tab_di = 17'b00000000011110100; //F4
788
        tab_WrAddr_i = 8'b10101011; //AB
789
        // --------------------
790
        #100 // Time=17700 ns
791
        tab_di = 17'b00000000010001000; //88
792
        tab_WrAddr_i = 8'b10101100; //AC
793
        // --------------------
794
        #100 // Time=17800 ns
795
        tab_di = 17'b00000000010011010; //9A
796
        tab_WrAddr_i = 8'b10101101; //AD
797
        // --------------------
798
        #100 // Time=17900 ns
799
        tab_di = 17'b00000000010101100; //AC
800
        tab_WrAddr_i = 8'b10101110; //AE
801
        // --------------------
802
        #100 // Time=18000 ns
803
        tab_di = 17'b00000000010111110; //BE
804
        tab_WrAddr_i = 8'b10101111; //AF
805
        // --------------------
806
        #100 // Time=18100 ns
807
        tab_di = 17'b00000000011010000; //D0
808
        tab_WrAddr_i = 8'b10110000; //B0
809
        // --------------------
810
        #100 // Time=18200 ns
811
        tab_di = 17'b00000000011100010; //E2
812
        tab_WrAddr_i = 8'b10110001; //B1
813
        // --------------------
814
        #100 // Time=18300 ns
815
        tab_di = 17'b00000000011110100; //F4
816
        tab_WrAddr_i = 8'b10110010; //B2
817
        // --------------------
818
        #100 // Time=18400 ns
819
        tab_di = 17'b00000000010001000; //88
820
        tab_WrAddr_i = 8'b10110011; //B3
821
        // --------------------
822
        #100 // Time=18500 ns
823
        tab_di = 17'b00000000010011010; //9A
824
        tab_WrAddr_i = 8'b10110100; //B4
825
        // --------------------
826
        #100 // Time=18600 ns
827
        tab_di = 17'b00000000010101100; //AC
828
        tab_WrAddr_i = 8'b10110101; //B5
829
        // --------------------
830
        #100 // Time=18700 ns
831
        tab_di = 17'b00000000010111110; //BE
832
        tab_WrAddr_i = 8'b10110110; //B6
833
        // --------------------
834
        #100 // Time=18800 ns
835
        tab_di = 17'b00000000011010000; //D0
836
        tab_WrAddr_i = 8'b10110111; //B7
837
        // --------------------
838
        #100 // Time=18900 ns
839
        tab_di = 17'b00000000011100010; //E2
840
        tab_WrAddr_i = 8'b10111000; //B8
841
        // --------------------
842
        #100 // Time=19000 ns
843
        tab_di = 17'b00000000011110100; //F4
844
        tab_WrAddr_i = 8'b10111001; //B9
845
        // --------------------
846
        #100 // Time=19100 ns
847
        tab_di = 17'b00000000010001000; //88
848
        tab_WrAddr_i = 8'b10111010; //BA
849
        // --------------------
850
        #100 // Time=19200 ns
851
        tab_di = 17'b00000000010011010; //9A
852
        tab_WrAddr_i = 8'b10111011; //BB
853
        // --------------------
854
        #100 // Time=19300 ns
855
        tab_di = 17'b00000000010101100; //AC
856
        tab_WrAddr_i = 8'b10111100; //BC
857
        // --------------------
858
        #100 // Time=19400 ns
859
        tab_di = 17'b00000000010111110; //BE
860
        tab_WrAddr_i = 8'b10111101; //BD
861
        // --------------------
862
        #100 // Time=19500 ns
863
        tab_di = 17'b00000000011010000; //D0
864
        tab_WrAddr_i = 8'b10111110; //BE
865
        // --------------------
866
        #100 // Time=19600 ns
867
        tab_di = 17'b00000000011100010; //E2
868
        tab_WrAddr_i = 8'b10111111; //BF
869
        // --------------------
870
        #100 // Time=19700 ns
871
        tab_di = 17'b00000000011110100; //F4
872
        tab_WrAddr_i = 8'b11000000; //C0
873
        // --------------------
874
        #100 // Time=19800 ns
875
        tab_di = 17'b00000000010001000; //88
876
        tab_WrAddr_i = 8'b11000001; //C1
877
        // --------------------
878
        #100 // Time=19900 ns
879
        tab_di = 17'b00000000010011010; //9A
880
        tab_WrAddr_i = 8'b11000010; //C2
881
        // --------------------
882
        #100 // Time=20000 ns
883
        tab_di = 17'b00000000010101100; //AC
884
        tab_WrAddr_i = 8'b11000011; //C3
885
        // --------------------
886
        #100 // Time=20100 ns
887
        tab_di = 17'b00000000010111110; //BE
888
        tab_WrAddr_i = 8'b11000100; //C4
889
        // --------------------
890
        #100 // Time=20200 ns
891
        tab_di = 17'b00000000011010000; //D0
892
        tab_WrAddr_i = 8'b11000101; //C5
893
        // --------------------
894
        #100 // Time=20300 ns
895
        tab_di = 17'b00000000011100010; //E2
896
        tab_WrAddr_i = 8'b11000110; //C6
897
        // --------------------
898
        #100 // Time=20400 ns
899
        tab_di = 17'b00000000011110100; //F4
900
        tab_WrAddr_i = 8'b11000111; //C7
901
        // --------------------
902
        #100 // Time=20500 ns
903
        tab_di = 17'b00000000010001000; //88
904
        tab_WrAddr_i = 8'b11001000; //C8
905
        // --------------------
906
        #100 // Time=20600 ns
907
        tab_di = 17'b00000000010011010; //9A
908
        tab_WrAddr_i = 8'b11001001; //C9
909
        // --------------------
910
        #100 // Time=20700 ns
911
        tab_di = 17'b00000000010101100; //AC
912
        tab_WrAddr_i = 8'b11001010; //CA
913
        // --------------------
914
        #100 // Time=20800 ns
915
        tab_di = 17'b00000000010111110; //BE
916
        tab_WrAddr_i = 8'b11001011; //CB
917
        // --------------------
918
        #100 // Time=20900 ns
919
        tab_di = 17'b00000000011010000; //D0
920
        tab_WrAddr_i = 8'b11001100; //CC
921
        // --------------------
922
        #100 // Time=21000 ns
923
        tab_di = 17'b00000000011100010; //E2
924
        tab_WrAddr_i = 8'b11001101; //CD
925
        // --------------------
926
        #100 // Time=21100 ns
927
        tab_di = 17'b00000000011110100; //F4
928
        tab_WrAddr_i = 8'b11001110; //CE
929
        // --------------------
930
        #100 // Time=21200 ns
931
        tab_di = 17'b00000000010001000; //88
932
        tab_WrAddr_i = 8'b11001111; //CF
933
        // --------------------
934
        #100 // Time=21300 ns
935
        tab_di = 17'b00000000010011010; //9A
936
        tab_WrAddr_i = 8'b11010000; //D0
937
        // --------------------
938
        #100 // Time=21400 ns
939
        tab_di = 17'b00000000010101100; //AC
940
        tab_WrAddr_i = 8'b11010001; //D1
941
        // --------------------
942
        #100 // Time=21500 ns
943
        tab_di = 17'b00000000010111110; //BE
944
        tab_WrAddr_i = 8'b11010010; //D2
945
        // --------------------
946
        #100 // Time=21600 ns
947
        tab_di = 17'b00000000011010000; //D0
948
        tab_WrAddr_i = 8'b11010011; //D3
949
        // --------------------
950
        #100 // Time=21700 ns
951
        tab_di = 17'b00000000011100010; //E2
952
        tab_WrAddr_i = 8'b11010100; //D4
953
        // --------------------
954
        #100 // Time=21800 ns
955
        tab_di = 17'b00000000011110100; //F4
956
        tab_WrAddr_i = 8'b11010101; //D5
957
        // --------------------
958
        #100 // Time=21900 ns
959
        tab_di = 17'b00000000010001000; //88
960
        tab_WrAddr_i = 8'b11010110; //D6
961
        // --------------------
962
        #100 // Time=22000 ns
963
        tab_di = 17'b00000000010011010; //9A
964
        tab_WrAddr_i = 8'b11010111; //D7
965
        // --------------------
966
        #100 // Time=22100 ns
967
        tab_di = 17'b00000000010101100; //AC
968
        tab_WrAddr_i = 8'b11011000; //D8
969
        // --------------------
970
        #100 // Time=22200 ns
971
        tab_di = 17'b00000000010111110; //BE
972
        tab_WrAddr_i = 8'b11011001; //D9
973
        // --------------------
974
        #100 // Time=22300 ns
975
        tab_di = 17'b00000000011010000; //D0
976
        tab_WrAddr_i = 8'b11011010; //DA
977
        // --------------------
978
        #100 // Time=22400 ns
979
        tab_di = 17'b00000000011100010; //E2
980
        tab_WrAddr_i = 8'b11011011; //DB
981
        // --------------------
982
        #100 // Time=22500 ns
983
        tab_di = 17'b00000000011110100; //F4
984
        tab_WrAddr_i = 8'b11011100; //DC
985
        // --------------------
986
        #100 // Time=22600 ns
987
        tab_di = 17'b00000000010001000; //88
988
        tab_WrAddr_i = 8'b11011101; //DD
989
        // --------------------
990
        #100 // Time=22700 ns
991
        tab_di = 17'b00000000010011010; //9A
992
        tab_WrAddr_i = 8'b11011110; //DE
993
        // --------------------
994
        #100 // Time=22800 ns
995
        tab_di = 17'b00000000010101100; //AC
996
        tab_WrAddr_i = 8'b11011111; //DF
997
        // --------------------
998
        #100 // Time=22900 ns
999
        tab_di = 17'b00000000010111110; //BE
1000
        tab_WrAddr_i = 8'b11100000; //E0
1001
        // --------------------
1002
        #100 // Time=23000 ns
1003
        tab_di = 17'b00000000011010000; //D0
1004
        tab_WrAddr_i = 8'b11100001; //E1
1005
        // --------------------
1006
        #100 // Time=23100 ns
1007
        tab_di = 17'b00000000011100010; //E2
1008
        tab_WrAddr_i = 8'b11100010; //E2
1009
        // --------------------
1010
        #100 // Time=23200 ns
1011
        tab_di = 17'b00000000011110100; //F4
1012
        tab_WrAddr_i = 8'b11100011; //E3
1013
        // --------------------
1014
        #100 // Time=23300 ns
1015
        tab_di = 17'b00000000010001000; //88
1016
        tab_WrAddr_i = 8'b11100100; //E4
1017
        // --------------------
1018
        #100 // Time=23400 ns
1019
        tab_di = 17'b00000000010011010; //9A
1020
        tab_WrAddr_i = 8'b11100101; //E5
1021
        // --------------------
1022
        #100 // Time=23500 ns
1023
        tab_di = 17'b00000000010101100; //AC
1024
        tab_WrAddr_i = 8'b11100110; //E6
1025
        // --------------------
1026
        #100 // Time=23600 ns
1027
        tab_di = 17'b00000000010111110; //BE
1028
        tab_WrAddr_i = 8'b11100111; //E7
1029
        // --------------------
1030
        #100 // Time=23700 ns
1031
        tab_di = 17'b00000000011010000; //D0
1032
        tab_WrAddr_i = 8'b11101000; //E8
1033
        // --------------------
1034
        #100 // Time=23800 ns
1035
        tab_di = 17'b00000000011100010; //E2
1036
        tab_WrAddr_i = 8'b11101001; //E9
1037
        // --------------------
1038
        #100 // Time=23900 ns
1039
        tab_di = 17'b00000000011110100; //F4
1040
        tab_WrAddr_i = 8'b11101010; //EA
1041
        // --------------------
1042
        #100 // Time=24000 ns
1043
        tab_di = 17'b00000000010001000; //88
1044
        tab_WrAddr_i = 8'b11101011; //EB
1045
        // --------------------
1046
        #100 // Time=24100 ns
1047
        tab_di = 17'b00000000010011010; //9A
1048
        tab_WrAddr_i = 8'b11101100; //EC
1049
        // --------------------
1050
        #100 // Time=24200 ns
1051
        tab_di = 17'b00000000010101100; //AC
1052
        tab_WrAddr_i = 8'b11101101; //ED
1053
        // --------------------
1054
        #100 // Time=24300 ns
1055
        tab_di = 17'b00000000010111110; //BE
1056
        tab_WrAddr_i = 8'b11101110; //EE
1057
        // --------------------
1058
        #100 // Time=24400 ns
1059
        tab_di = 17'b00000000011010000; //D0
1060
        tab_WrAddr_i = 8'b11101111; //EF
1061
        // --------------------
1062
        #100 // Time=24500 ns
1063
        tab_di = 17'b00000000011100010; //E2
1064
        tab_WrAddr_i = 8'b11110000; //F0
1065
        // --------------------
1066
        #100 // Time=24600 ns
1067
        tab_di = 17'b00000000011110100; //F4
1068
        tab_WrAddr_i = 8'b11110001; //F1
1069
        // --------------------
1070
        #100 // Time=24700 ns
1071
        tab_di = 17'b00000000010001000; //88
1072
        tab_WrAddr_i = 8'b11110010; //F2
1073
        // --------------------
1074
        #100 // Time=24800 ns
1075
        tab_di = 17'b00000000010011010; //9A
1076
        tab_WrAddr_i = 8'b11110011; //F3
1077
        // --------------------
1078
        #100 // Time=24900 ns
1079
        tab_di = 17'b00000000010101100; //AC
1080
        tab_WrAddr_i = 8'b11110100; //F4
1081
        // --------------------
1082
        #100 // Time=25000 ns
1083
        tab_di = 17'b00000000010111110; //BE
1084
        tab_WrAddr_i = 8'b11110101; //F5
1085
        // --------------------
1086
        #100 // Time=25100 ns
1087
        tab_di = 17'b00000000011010000; //D0
1088
        tab_WrAddr_i = 8'b11110110; //F6
1089
        // --------------------
1090
        #100 // Time=25200 ns
1091
        tab_di = 17'b00000000011100010; //E2
1092
        tab_WrAddr_i = 8'b11110111; //F7
1093
        // --------------------
1094
        #100 // Time=25300 ns
1095
        tab_di = 17'b00000000011110100; //F4
1096
        tab_WrAddr_i = 8'b11111000; //F8
1097
        // --------------------
1098
        #100 // Time=25400 ns
1099
        tab_di = 17'b00000000010001000; //88
1100
        tab_WrAddr_i = 8'b11111001; //F9
1101
        // --------------------
1102
        #100 // Time=25500 ns
1103
        tab_di = 17'b00000000010011010; //9A
1104
        tab_WrAddr_i = 8'b11111010; //FA
1105
        // --------------------
1106
        #100 // Time=25600 ns
1107
        tab_di = 17'b00000000010101100; //AC
1108
        tab_WrAddr_i = 8'b11111011; //FB
1109
        // --------------------
1110
        #100 // Time=25700 ns
1111
        tab_di = 17'b00000000010111110; //BE
1112
        tab_WrAddr_i = 8'b11111100; //FC
1113
        // --------------------
1114
        #100 // Time=25800 ns
1115
        tab_di = 17'b00000000011010000; //D0
1116
        tab_WrAddr_i = 8'b11111101; //FD
1117
        // --------------------
1118
        #100 // Time=25900 ns
1119
        tab_di = 17'b00000000011100010; //E2
1120
        tab_WrAddr_i = 8'b11111110; //FE
1121
        // --------------------
1122
        #100 // Time=26000 ns
1123
        tab_di = 17'b00000000000000000; //0
1124
        tab_WrAddr_i = 8'b11111111; //FF
1125
        // --------------------
1126
        #100 // Time=26100 ns
1127
        we_tab_i = 1'b0;
1128
        tab_WrAddr_i = 8'b00000000; //0
1129
        // --------------------
1130
        #400 // Time=26500 ns
1131
        SpW_di = 9'b000000000; //0
1132
        // --------------------
1133
        #100 // Time=26600 ns
1134
        empty_IBUF_i = 1'b0;
1135
        // --------------------
1136
        #1500 // Time=28100 ns
1137
        SpW_di = 9'b100000000; //100
1138
        // --------------------
1139
        #100 // Time=28200 ns
1140
        SpW_di = 9'b100000001; //101
1141
        // --------------------
1142
        #100 // Time=28300 ns
1143
        SpW_di = 9'b000001101; //D
1144
        // --------------------
1145
        #100 // Time=28400 ns
1146
        SpW_di = 9'b010101010; //AA
1147
        // --------------------
1148
        #100 // Time=28500 ns
1149
        SpW_di = 9'b010101010; //AA
1150
        // --------------------
1151
        #100 // Time=28600 ns
1152
        SpW_di = 9'b010101010; //AA
1153
        // --------------------
1154
        #100 // Time=28700 ns
1155
        SpW_di = 9'b010101010; //AA
1156
        // --------------------
1157
        #100 // Time=28800 ns
1158
        SpW_di = 9'b010101010; //AA
1159
        // --------------------
1160
        #100 // Time=28900 ns
1161
        SpW_di = 9'b010101010; //AA
1162
        // --------------------
1163
        #100 // Time=29000 ns
1164
        SpW_di = 9'b010101010; //AA
1165
        // --------------------
1166
        #100 // Time=29100 ns
1167
        SpW_di = 9'b010101010; //AA
1168
        // --------------------
1169
        #100 // Time=29200 ns
1170
        SpW_di = 9'b010101010; //AA
1171
        // --------------------
1172
        #100 // Time=29300 ns
1173
        SpW_di = 9'b010101010; //AA
1174
        // --------------------
1175
        #100 // Time=29400 ns
1176
        SpW_di = 9'b010101010; //AA
1177
        // --------------------
1178
        #100 // Time=29500 ns
1179
        SpW_di = 9'b010101010; //AA
1180
        // --------------------
1181
        #100 // Time=29600 ns
1182
        SpW_di = 9'b010101010; //AA
1183
        // --------------------
1184
        #100 // Time=29700 ns
1185
        SpW_di = 9'b010101010; //AA
1186
        // --------------------
1187
        #100 // Time=29800 ns
1188
        SpW_di = 9'b010101010; //AA
1189
        // --------------------
1190
        #100 // Time=29900 ns
1191
        SpW_di = 9'b010101010; //AA
1192
        // --------------------
1193
        #100 // Time=30000 ns
1194
        SpW_di = 9'b001010101; //55
1195
        // --------------------
1196
        #100 // Time=30100 ns
1197
        SpW_di = 9'b001010101; //55
1198
        // --------------------
1199
        #100 // Time=30200 ns
1200
        SpW_di = 9'b001010101; //55
1201
        // --------------------
1202
        #100 // Time=30300 ns
1203
        SpW_di = 9'b001010101; //55
1204
        // --------------------
1205
        #100 // Time=30400 ns
1206
        SpW_di = 9'b001010101; //55
1207
        // --------------------
1208
        #100 // Time=30500 ns
1209
        SpW_di = 9'b001010101; //55
1210
        // --------------------
1211
        #100 // Time=30600 ns
1212
        SpW_di = 9'b001010101; //55
1213
        // --------------------
1214
        #100 // Time=30700 ns
1215
        SpW_di = 9'b001010101; //55
1216
        // --------------------
1217
        #100 // Time=30800 ns
1218
        SpW_di = 9'b001010101; //55
1219
        // --------------------
1220
        #100 // Time=30900 ns
1221
        SpW_di = 9'b001010101; //55
1222
        empty_IBUF_i = 1'b1;
1223
        // --------------------
1224
        #700 // Time=31600 ns
1225
        SpW_di = 9'b010101010; //AA
1226
        empty_IBUF_i = 1'b0;
1227
        // --------------------
1228
        #100 // Time=31700 ns
1229
        SpW_di = 9'b010101010; //AA
1230
        // --------------------
1231
        #100 // Time=31800 ns
1232
        SpW_di = 9'b010101010; //AA
1233
        // --------------------
1234
        #100 // Time=31900 ns
1235
        SpW_di = 9'b010101010; //AA
1236
        // --------------------
1237
        #100 // Time=32000 ns
1238
        SpW_di = 9'b010101010; //AA
1239
        // --------------------
1240
        #100 // Time=32100 ns
1241
        SpW_di = 9'b010101010; //AA
1242
        // --------------------
1243
        #100 // Time=32200 ns
1244
        SpW_di = 9'b010101010; //AA
1245
        // --------------------
1246
        #100 // Time=32300 ns
1247
        SpW_di = 9'b010101010; //AA
1248
        // --------------------
1249
        #100 // Time=32400 ns
1250
        SpW_di = 9'b010101010; //AA
1251
        // --------------------
1252
        #100 // Time=32500 ns
1253
        SpW_di = 9'b010101010; //AA
1254
        // --------------------
1255
        #100 // Time=32600 ns
1256
        SpW_di = 9'b010101010; //AA
1257
        // --------------------
1258
        #100 // Time=32700 ns
1259
        SpW_di = 9'b010101010; //AA
1260
        // --------------------
1261
        #100 // Time=32800 ns
1262
        SpW_di = 9'b010101010; //AA
1263
        // --------------------
1264
        #100 // Time=32900 ns
1265
        SpW_di = 9'b010101010; //AA
1266
        // --------------------
1267
        #100 // Time=33000 ns
1268
        SpW_di = 9'b010101010; //AA
1269
        // --------------------
1270
        #100 // Time=33100 ns
1271
        SpW_di = 9'b010101010; //AA
1272
        // --------------------
1273
        #100 // Time=33200 ns
1274
        SpW_di = 9'b010101010; //AA
1275
        // --------------------
1276
        #100 // Time=33300 ns
1277
        SpW_di = 9'b010101010; //AA
1278
        // --------------------
1279
        #100 // Time=33400 ns
1280
        SpW_di = 9'b010101010; //AA
1281
        full_cell_i = 16'b0000000011111111; //FF
1282
        // --------------------
1283
        #1200 // Time=34600 ns
1284
        SpW_di = 9'b001010101; //55
1285
        full_cell_i = 16'b0000000000000000; //0
1286
        // --------------------
1287
        #100 // Time=34700 ns
1288
        SpW_di = 9'b001010101; //55
1289
        // --------------------
1290
        #100 // Time=34800 ns
1291
        SpW_di = 9'b001010101; //55
1292
        // --------------------
1293
        #100 // Time=34900 ns
1294
        SpW_di = 9'b001010101; //55
1295
        // --------------------
1296
        #100 // Time=35000 ns
1297
        SpW_di = 9'b001010101; //55
1298
        // --------------------
1299
        #100 // Time=35100 ns
1300
        SpW_di = 9'b001010101; //55
1301
        // --------------------
1302
        #100 // Time=35200 ns
1303
        SpW_di = 9'b001010101; //55
1304
        // --------------------
1305
        #100 // Time=35300 ns
1306
        SpW_di = 9'b001010101; //55
1307
        // --------------------
1308
        #100 // Time=35400 ns
1309
        SpW_di = 9'b001010101; //55
1310
        // --------------------
1311
        #100 // Time=35500 ns
1312
        SpW_di = 9'b001010101; //55
1313
        // --------------------
1314
        #100 // Time=35600 ns
1315
        SpW_di = 9'b001010101; //55
1316
        // --------------------
1317
        #100 // Time=35700 ns
1318
        SpW_di = 9'b001010101; //55
1319
        // --------------------
1320
        #100 // Time=35800 ns
1321
        SpW_di = 9'b001010101; //55
1322
        // --------------------
1323
        #100 // Time=35900 ns
1324
        SpW_di = 9'b001010101; //55
1325
        // --------------------
1326
        #100 // Time=36000 ns
1327
        SpW_di = 9'b001010101; //55
1328
        // --------------------
1329
        #100 // Time=36100 ns
1330
        SpW_di = 9'b001010101; //55
1331
        // --------------------
1332
        #100 // Time=36200 ns
1333
        SpW_di = 9'b001010101; //55
1334
        // --------------------
1335
        #100 // Time=36300 ns
1336
        SpW_di = 9'b100000000; //100
1337
        // --------------------
1338
        #100 // Time=36400 ns
1339
        SpW_di = 9'b000100011; //23
1340
        // --------------------
1341
        #100 // Time=36500 ns
1342
        SpW_di = 9'b010101010; //AA
1343
        // --------------------
1344
        #100 // Time=36600 ns
1345
        SpW_di = 9'b010101010; //AA
1346
        // --------------------
1347
        #100 // Time=36700 ns
1348
        SpW_di = 9'b010101010; //AA
1349
        // --------------------
1350
        #100 // Time=36800 ns
1351
        SpW_di = 9'b010101010; //AA
1352
        // --------------------
1353
        #100 // Time=36900 ns
1354
        SpW_di = 9'b010101010; //AA
1355
        // --------------------
1356
        #100 // Time=37000 ns
1357
        SpW_di = 9'b010101010; //AA
1358
        // --------------------
1359
        #100 // Time=37100 ns
1360
        SpW_di = 9'b010101010; //AA
1361
        // --------------------
1362
        #100 // Time=37200 ns
1363
        SpW_di = 9'b010101010; //AA
1364
        // --------------------
1365
        #100 // Time=37300 ns
1366
        SpW_di = 9'b010101010; //AA
1367
        // --------------------
1368
        #100 // Time=37400 ns
1369
        SpW_di = 9'b001010101; //55
1370
        // --------------------
1371
        #100 // Time=37500 ns
1372
        SpW_di = 9'b001010101; //55
1373
        // --------------------
1374
        #100 // Time=37600 ns
1375
        SpW_di = 9'b001010101; //55
1376
        // --------------------
1377
        #100 // Time=37700 ns
1378
        SpW_di = 9'b001010101; //55
1379
        // --------------------
1380
        #100 // Time=37800 ns
1381
        SpW_di = 9'b001010101; //55
1382
        // --------------------
1383
        #100 // Time=37900 ns
1384
        SpW_di = 9'b001010101; //55
1385
        // --------------------
1386
        #100 // Time=38000 ns
1387
        SpW_di = 9'b001010101; //55
1388
        // --------------------
1389
        #1100 // Time=38110 ns
1390
        // --------------------
1391
 
1392
        if (TX_ERROR == 0) begin
1393
                $display("No errors or warnings");
1394
                $fdisplay(TX_FILE,"No errors or warnings");
1395
        end else begin
1396
                $display("%d errors found in simulation",TX_ERROR);
1397
                $fdisplay(TX_FILE,"%d errors found in simulation",TX_ERROR);
1398
        end
1399
 
1400
        $fclose(TX_FILE);
1401
        $stop;
1402
 
1403
end
1404
 
1405
task CHECK_rd_IBUF_o;
1406
        input NEXT_rd_IBUF_o;
1407
 
1408
        #0 begin
1409
                if (NEXT_rd_IBUF_o !== rd_IBUF_o) begin
1410
                        $display("Error at time=%dns rd_IBUF_o=%b, expected=%b",
1411
                                $time, rd_IBUF_o, NEXT_rd_IBUF_o);
1412
                        $fdisplay(TX_FILE,"Error at time=%dns rd_IBUF_o=%b, expected=%b",
1413
                                $time, rd_IBUF_o, NEXT_rd_IBUF_o);
1414
                        TX_ERROR = TX_ERROR + 1;
1415
                end
1416
        end
1417
endtask
1418
 
1419
task CHECK_tab_d0_o;
1420
        input NEXT_tab_d0_o;
1421
 
1422
        #0 begin
1423
                if (NEXT_tab_d0_o !== tab_d0_o) begin
1424
                        $display("Error at time=%dns tab_d0_o=%b, expected=%b",
1425
                                $time, tab_d0_o, NEXT_tab_d0_o);
1426
                        $fdisplay(TX_FILE,"Error at time=%dns tab_d0_o=%b, expected=%b",
1427
                                $time, tab_d0_o, NEXT_tab_d0_o);
1428
                        TX_ERROR = TX_ERROR + 1;
1429
                end
1430
        end
1431
endtask
1432
 
1433
task CHECK_we_cell_o;
1434
        input [15:0] NEXT_we_cell_o;
1435
 
1436
        #0 begin
1437
                if (NEXT_we_cell_o !== we_cell_o) begin
1438
                        $display("Error at time=%dns we_cell_o=%b, expected=%b",
1439
                                $time, we_cell_o, NEXT_we_cell_o);
1440
                        $fdisplay(TX_FILE,"Error at time=%dns we_cell_o=%b, expected=%b",
1441
                                $time, we_cell_o, NEXT_we_cell_o);
1442
                        TX_ERROR = TX_ERROR + 1;
1443
                end
1444
        end
1445
endtask
1446
 
1447
task CHECK_cfg_SMX_o;
1448
        input [3:0] NEXT_cfg_SMX_o;
1449
 
1450
        #0 begin
1451
                if (NEXT_cfg_SMX_o !== cfg_SMX_o) begin
1452
                        $display("Error at time=%dns cfg_SMX_o=%b, expected=%b",
1453
                                $time, cfg_SMX_o, NEXT_cfg_SMX_o);
1454
                        $fdisplay(TX_FILE,"Error at time=%dns cfg_SMX_o=%b, expected=%b",
1455
                                $time, cfg_SMX_o, NEXT_cfg_SMX_o);
1456
                        TX_ERROR = TX_ERROR + 1;
1457
                end
1458
        end
1459
endtask
1460
 
1461
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.