OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [branches/] [or1200_rel3/] [rtl/] [verilog/] [or1200_dc_fsm.v] - Diff between revs 258 and 358

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 258 Rev 358
Line 357... Line 357...
   assign burst = (state == `OR1200_DCFSM_LOOP2);
   assign burst = (state == `OR1200_DCFSM_LOOP2);
 
 
   //
   //
   // Main DC FSM
   // Main DC FSM
   //
   //
   always @(posedge clk or posedge rst) begin
   always @(posedge clk or `OR1200_RST_EVENT rst) begin
      if (rst) begin
      if (rst == `OR1200_RST_VALUE) begin
         state <=  `OR1200_DCFSM_IDLE;
         state <=  `OR1200_DCFSM_IDLE;
         addr_r <=  32'b0;
         addr_r <=  32'b0;
         hitmiss_eval <=  1'b0;
         hitmiss_eval <=  1'b0;
         store <=  1'b0;
         store <=  1'b0;
         load <=  1'b0;
         load <=  1'b0;
Line 553... Line 553...
               state <=  `OR1200_DCFSM_IDLE;
               state <=  `OR1200_DCFSM_IDLE;
          end
          end
 
 
        endcase // case (state)
        endcase // case (state)
 
 
   end // always @ (posedge clk or posedge rst)
   end // always @ (posedge clk or `OR1200_RST_EVENT rst)
 
 
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.