URL
https://opencores.org/ocsvn/spacewire/spacewire/trunk
Subversion Repositories spacewire
[/] [spacewire/] [trunk/] [tb/] [tb_LSer.tf] - Rev 27
Compare with Previous | Blame | View Log
// F:\XILINX\MY-PROJECTS\SPACEWIRE_1355
// Verilog Test fixture created by
// HDL Bencher 6.1i
// Wed Apr 27 10:28:05 2005
//
// Notes:
// 1) This test fixture has been automatically generated from
// your Test Bench Waveform
// 2) To use this as a user modifiable test fixture do the following:
// - Save it as a file with a .tf extension (i.e. File->Save As...)
// - Add it to your project as a testbench source (i.e. Project->Add Source...)
//
`timescale 1ns/1ns
module tb_LSer;
wire rd_IBUF_o;
reg [8:0] SpW_di;
reg empty_IBUF_i;
wire tab_d0_o;
reg try_msb;
reg [16:0] tab_di;
reg we_tab_i;
reg [7:0] tab_WrAddr_i;
reg [3:0] org_line_i;
wire [15:0] we_cell_o;
wire [3:0] cfg_SMX_o;
reg [15:0] full_cell_i;
reg reset;
reg gclk;
reg GSR; //Global signal, this was added automatically
assign glbl.GSR = GSR;
/*
defparam UUT.IF_PORTNUM = 16;
defparam UUT.IO_DW = 10;
defparam UUT.TRY_DW = 4;
defparam UUT.ORG_AW = 4;
defparam UUT.TAB_DW = 17;
defparam UUT.EOP = 256;
defparam UUT.EEP = 257;
defparam UUT.HEADS_Cargo = 0;
defparam UUT.STATE_NUM = 8;
defparam UUT.IDLE = 1;
defparam UUT.JUDGE_HEAD = 2;
defparam UUT.DEL_HEAD = 4;
defparam UUT.TRY_ORG_COL = 8;
defparam UUT.INCR_TRY_COL = 16;
defparam UUT.DECR_TRY_COL = 32;
defparam UUT.DISTRIBUTING = 64;
defparam UUT.GRAB_CELL_WAIT = 128;
defparam UUT.HCW = 5;
defparam UUT.True = 1;
defparam UUT.False = 0; */
LSer UUT (
.rd_IBUF_o(rd_IBUF_o),
.SpW_di(SpW_di),
.empty_IBUF_i(empty_IBUF_i),
.tab_d0_o(tab_d0_o),
.try_msb(try_msb),
.tab_di(tab_di),
.we_tab_i(we_tab_i),
.tab_WrAddr_i(tab_WrAddr_i),
.org_line_i(org_line_i),
.we_cell_o(we_cell_o),
.cfg_SMX_o(cfg_SMX_o),
.full_cell_i(full_cell_i),
.reset(reset),
.gclk(gclk)
);
integer TX_FILE;
integer TX_ERROR;
always
begin //clock process
gclk = 1'b0;
#10
gclk = 1'b1;
#20
#30
gclk = 1'b0;
#40
gclk = 1'b0;
end
initial
begin
GSR = 1;
#100 GSR = 0;
end
initial
begin
TX_ERROR=0;
TX_FILE=$fopen("results.txt");
// --------------------
SpW_di = 9'b000000000; //0
empty_IBUF_i = 1'b1;
try_msb = 1'b0;
tab_di = 17'b00000000000000000; //0
we_tab_i = 1'b0;
tab_WrAddr_i = 8'b00000000; //0
org_line_i = 4'b0000; //0
full_cell_i = 16'b0000000000000000; //0
reset = 1'b1;
// --------------------
#300 // Time=300 ns
org_line_i = 4'b0101; //5
reset = 1'b0;
// --------------------
#200 // Time=500 ns
tab_di = 17'b00000000000000001; //1
we_tab_i = 1'b1;
tab_WrAddr_i = 8'b00000000; //0
// --------------------
#100 // Time=600 ns
tab_di = 17'b00000000000000010; //2
tab_WrAddr_i = 8'b00000001; //1
// --------------------
#100 // Time=700 ns
tab_di = 17'b00000000000000100; //4
tab_WrAddr_i = 8'b00000010; //2
// --------------------
#100 // Time=800 ns
tab_di = 17'b00000000000001000; //8
tab_WrAddr_i = 8'b00000011; //3
// --------------------
#100 // Time=900 ns
tab_di = 17'b00000000000010000; //10
tab_WrAddr_i = 8'b00000100; //4
// --------------------
#100 // Time=1000 ns
tab_di = 17'b00000000000100000; //20
tab_WrAddr_i = 8'b00000101; //5
// --------------------
#100 // Time=1100 ns
tab_di = 17'b00000000001000000; //40
tab_WrAddr_i = 8'b00000110; //6
// --------------------
#100 // Time=1200 ns
tab_di = 17'b00000000010000000; //80
tab_WrAddr_i = 8'b00000111; //7
// --------------------
#100 // Time=1300 ns
tab_di = 17'b00000000100000000; //100
tab_WrAddr_i = 8'b00001000; //8
// --------------------
#100 // Time=1400 ns
tab_di = 17'b00000001000000000; //200
tab_WrAddr_i = 8'b00001001; //9
// --------------------
#100 // Time=1500 ns
tab_di = 17'b00000010000000000; //400
tab_WrAddr_i = 8'b00001010; //A
// --------------------
#100 // Time=1600 ns
tab_di = 17'b00000100000000000; //800
tab_WrAddr_i = 8'b00001011; //B
// --------------------
#100 // Time=1700 ns
tab_di = 17'b00001000000000000; //1000
tab_WrAddr_i = 8'b00001100; //C
// --------------------
#100 // Time=1800 ns
tab_di = 17'b00010000000000000; //2000
tab_WrAddr_i = 8'b00001101; //D
// --------------------
#100 // Time=1900 ns
tab_di = 17'b00100000000000000; //4000
tab_WrAddr_i = 8'b00001110; //E
// --------------------
#100 // Time=2000 ns
tab_di = 17'b01000000000000000; //8000
tab_WrAddr_i = 8'b00001111; //F
// --------------------
#100 // Time=2100 ns
tab_di = 17'b10000000000000000; //10000
tab_WrAddr_i = 8'b00010000; //10
// --------------------
#100 // Time=2200 ns
tab_di = 17'b00000000000000000; //0
tab_WrAddr_i = 8'b00010001; //11
// --------------------
#100 // Time=2300 ns
tab_WrAddr_i = 8'b00010010; //12
// --------------------
#100 // Time=2400 ns
tab_WrAddr_i = 8'b00010011; //13
// --------------------
#100 // Time=2500 ns
tab_WrAddr_i = 8'b00010100; //14
// --------------------
#100 // Time=2600 ns
tab_WrAddr_i = 8'b00010101; //15
// --------------------
#100 // Time=2700 ns
tab_WrAddr_i = 8'b00010110; //16
// --------------------
#100 // Time=2800 ns
tab_WrAddr_i = 8'b00010111; //17
// --------------------
#100 // Time=2900 ns
tab_WrAddr_i = 8'b00011000; //18
// --------------------
#100 // Time=3000 ns
tab_WrAddr_i = 8'b00011001; //19
// --------------------
#100 // Time=3100 ns
tab_WrAddr_i = 8'b00011010; //1A
// --------------------
#100 // Time=3200 ns
tab_WrAddr_i = 8'b00011011; //1B
// --------------------
#100 // Time=3300 ns
tab_WrAddr_i = 8'b00011100; //1C
// --------------------
#100 // Time=3400 ns
tab_WrAddr_i = 8'b00011101; //1D
// --------------------
#100 // Time=3500 ns
tab_WrAddr_i = 8'b00011110; //1E
// --------------------
#100 // Time=3600 ns
tab_di = 17'b00000000000000000; //0
tab_WrAddr_i = 8'b00011111; //1F
// --------------------
#100 // Time=3700 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b00100000; //20
// --------------------
#100 // Time=3800 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b00100001; //21
// --------------------
#100 // Time=3900 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b00100010; //22
// --------------------
#100 // Time=4000 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b00100011; //23
// --------------------
#100 // Time=4100 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b00100100; //24
// --------------------
#100 // Time=4200 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b00100101; //25
// --------------------
#100 // Time=4300 ns
tab_di = 17'b00000000010010100; //94
tab_WrAddr_i = 8'b00100110; //26
// --------------------
#100 // Time=4400 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b00100111; //27
// --------------------
#100 // Time=4500 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b00101000; //28
// --------------------
#100 // Time=4600 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b00101001; //29
// --------------------
#100 // Time=4700 ns
tab_di = 17'b00000000010100110; //A6
tab_WrAddr_i = 8'b00101010; //2A
// --------------------
#100 // Time=4800 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b00101011; //2B
// --------------------
#100 // Time=4900 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b00101100; //2C
// --------------------
#100 // Time=5000 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b00101101; //2D
// --------------------
#100 // Time=5100 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b00101110; //2E
// --------------------
#100 // Time=5200 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b00101111; //2F
// --------------------
#100 // Time=5300 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b00110000; //30
// --------------------
#100 // Time=5400 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b00110001; //31
// --------------------
#100 // Time=5500 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b00110010; //32
// --------------------
#100 // Time=5600 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b00110011; //33
// --------------------
#100 // Time=5700 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b00110100; //34
// --------------------
#100 // Time=5800 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b00110101; //35
// --------------------
#100 // Time=5900 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b00110110; //36
// --------------------
#100 // Time=6000 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b00110111; //37
// --------------------
#100 // Time=6100 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b00111000; //38
// --------------------
#100 // Time=6200 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b00111001; //39
// --------------------
#100 // Time=6300 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b00111010; //3A
// --------------------
#100 // Time=6400 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b00111011; //3B
// --------------------
#100 // Time=6500 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b00111100; //3C
// --------------------
#100 // Time=6600 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b00111101; //3D
// --------------------
#100 // Time=6700 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b00111110; //3E
// --------------------
#100 // Time=6800 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b00111111; //3F
// --------------------
#100 // Time=6900 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b01000000; //40
// --------------------
#100 // Time=7000 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b01000001; //41
// --------------------
#100 // Time=7100 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b01000010; //42
// --------------------
#100 // Time=7200 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b01000011; //43
// --------------------
#100 // Time=7300 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b01000100; //44
// --------------------
#100 // Time=7400 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b01000101; //45
// --------------------
#100 // Time=7500 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b01000110; //46
// --------------------
#100 // Time=7600 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b01000111; //47
// --------------------
#100 // Time=7700 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b01001000; //48
// --------------------
#100 // Time=7800 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b01001001; //49
// --------------------
#100 // Time=7900 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b01001010; //4A
// --------------------
#100 // Time=8000 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b01001011; //4B
// --------------------
#100 // Time=8100 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b01001100; //4C
// --------------------
#100 // Time=8200 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b01001101; //4D
// --------------------
#100 // Time=8300 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b01001110; //4E
// --------------------
#100 // Time=8400 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b01001111; //4F
// --------------------
#100 // Time=8500 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b01010000; //50
// --------------------
#100 // Time=8600 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b01010001; //51
// --------------------
#100 // Time=8700 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b01010010; //52
// --------------------
#100 // Time=8800 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b01010011; //53
// --------------------
#100 // Time=8900 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b01010100; //54
// --------------------
#100 // Time=9000 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b01010101; //55
// --------------------
#100 // Time=9100 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b01010110; //56
// --------------------
#100 // Time=9200 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b01010111; //57
// --------------------
#100 // Time=9300 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b01011000; //58
// --------------------
#100 // Time=9400 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b01011001; //59
// --------------------
#100 // Time=9500 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b01011010; //5A
// --------------------
#100 // Time=9600 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b01011011; //5B
// --------------------
#100 // Time=9700 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b01011100; //5C
// --------------------
#100 // Time=9800 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b01011101; //5D
// --------------------
#100 // Time=9900 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b01011110; //5E
// --------------------
#100 // Time=10000 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b01011111; //5F
// --------------------
#100 // Time=10100 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b01100000; //60
// --------------------
#100 // Time=10200 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b01100001; //61
// --------------------
#100 // Time=10300 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b01100010; //62
// --------------------
#100 // Time=10400 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b01100011; //63
// --------------------
#100 // Time=10500 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b01100100; //64
// --------------------
#100 // Time=10600 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b01100101; //65
// --------------------
#100 // Time=10700 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b01100110; //66
// --------------------
#100 // Time=10800 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b01100111; //67
// --------------------
#100 // Time=10900 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b01101000; //68
// --------------------
#100 // Time=11000 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b01101001; //69
// --------------------
#100 // Time=11100 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b01101010; //6A
// --------------------
#100 // Time=11200 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b01101011; //6B
// --------------------
#100 // Time=11300 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b01101100; //6C
// --------------------
#100 // Time=11400 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b01101101; //6D
// --------------------
#100 // Time=11500 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b01101110; //6E
// --------------------
#100 // Time=11600 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b01101111; //6F
// --------------------
#100 // Time=11700 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b01110000; //70
// --------------------
#100 // Time=11800 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b01110001; //71
// --------------------
#100 // Time=11900 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b01110010; //72
// --------------------
#100 // Time=12000 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b01110011; //73
// --------------------
#100 // Time=12100 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b01110100; //74
// --------------------
#100 // Time=12200 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b01110101; //75
// --------------------
#100 // Time=12300 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b01110110; //76
// --------------------
#100 // Time=12400 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b01110111; //77
// --------------------
#100 // Time=12500 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b01111000; //78
// --------------------
#100 // Time=12600 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b01111001; //79
// --------------------
#100 // Time=12700 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b01111010; //7A
// --------------------
#100 // Time=12800 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b01111011; //7B
// --------------------
#100 // Time=12900 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b01111100; //7C
// --------------------
#100 // Time=13000 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b01111101; //7D
// --------------------
#100 // Time=13100 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b01111110; //7E
// --------------------
#100 // Time=13200 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b01111111; //7F
// --------------------
#100 // Time=13300 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b10000000; //80
// --------------------
#100 // Time=13400 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b10000001; //81
// --------------------
#100 // Time=13500 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b10000010; //82
// --------------------
#100 // Time=13600 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b10000011; //83
// --------------------
#100 // Time=13700 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b10000100; //84
// --------------------
#100 // Time=13800 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b10000101; //85
// --------------------
#100 // Time=13900 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b10000110; //86
// --------------------
#100 // Time=14000 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b10000111; //87
// --------------------
#100 // Time=14100 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b10001000; //88
// --------------------
#100 // Time=14200 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b10001001; //89
// --------------------
#100 // Time=14300 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b10001010; //8A
// --------------------
#100 // Time=14400 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b10001011; //8B
// --------------------
#100 // Time=14500 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b10001100; //8C
// --------------------
#100 // Time=14600 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b10001101; //8D
// --------------------
#100 // Time=14700 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b10001110; //8E
// --------------------
#100 // Time=14800 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b10001111; //8F
// --------------------
#100 // Time=14900 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b10010000; //90
// --------------------
#100 // Time=15000 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b10010001; //91
// --------------------
#100 // Time=15100 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b10010010; //92
// --------------------
#100 // Time=15200 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b10010011; //93
// --------------------
#100 // Time=15300 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b10010100; //94
// --------------------
#100 // Time=15400 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b10010101; //95
// --------------------
#100 // Time=15500 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b10010110; //96
// --------------------
#100 // Time=15600 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b10010111; //97
// --------------------
#100 // Time=15700 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b10011000; //98
// --------------------
#100 // Time=15800 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b10011001; //99
// --------------------
#100 // Time=15900 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b10011010; //9A
// --------------------
#100 // Time=16000 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b10011011; //9B
// --------------------
#100 // Time=16100 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b10011100; //9C
// --------------------
#100 // Time=16200 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b10011101; //9D
// --------------------
#100 // Time=16300 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b10011110; //9E
// --------------------
#100 // Time=16400 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b10011111; //9F
// --------------------
#100 // Time=16500 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b10100000; //A0
// --------------------
#100 // Time=16600 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b10100001; //A1
// --------------------
#100 // Time=16700 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b10100010; //A2
// --------------------
#100 // Time=16800 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b10100011; //A3
// --------------------
#100 // Time=16900 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b10100100; //A4
// --------------------
#100 // Time=17000 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b10100101; //A5
// --------------------
#100 // Time=17100 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b10100110; //A6
// --------------------
#100 // Time=17200 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b10100111; //A7
// --------------------
#100 // Time=17300 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b10101000; //A8
// --------------------
#100 // Time=17400 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b10101001; //A9
// --------------------
#100 // Time=17500 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b10101010; //AA
// --------------------
#100 // Time=17600 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b10101011; //AB
// --------------------
#100 // Time=17700 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b10101100; //AC
// --------------------
#100 // Time=17800 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b10101101; //AD
// --------------------
#100 // Time=17900 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b10101110; //AE
// --------------------
#100 // Time=18000 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b10101111; //AF
// --------------------
#100 // Time=18100 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b10110000; //B0
// --------------------
#100 // Time=18200 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b10110001; //B1
// --------------------
#100 // Time=18300 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b10110010; //B2
// --------------------
#100 // Time=18400 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b10110011; //B3
// --------------------
#100 // Time=18500 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b10110100; //B4
// --------------------
#100 // Time=18600 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b10110101; //B5
// --------------------
#100 // Time=18700 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b10110110; //B6
// --------------------
#100 // Time=18800 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b10110111; //B7
// --------------------
#100 // Time=18900 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b10111000; //B8
// --------------------
#100 // Time=19000 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b10111001; //B9
// --------------------
#100 // Time=19100 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b10111010; //BA
// --------------------
#100 // Time=19200 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b10111011; //BB
// --------------------
#100 // Time=19300 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b10111100; //BC
// --------------------
#100 // Time=19400 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b10111101; //BD
// --------------------
#100 // Time=19500 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b10111110; //BE
// --------------------
#100 // Time=19600 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b10111111; //BF
// --------------------
#100 // Time=19700 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b11000000; //C0
// --------------------
#100 // Time=19800 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b11000001; //C1
// --------------------
#100 // Time=19900 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b11000010; //C2
// --------------------
#100 // Time=20000 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b11000011; //C3
// --------------------
#100 // Time=20100 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b11000100; //C4
// --------------------
#100 // Time=20200 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b11000101; //C5
// --------------------
#100 // Time=20300 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b11000110; //C6
// --------------------
#100 // Time=20400 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b11000111; //C7
// --------------------
#100 // Time=20500 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b11001000; //C8
// --------------------
#100 // Time=20600 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b11001001; //C9
// --------------------
#100 // Time=20700 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b11001010; //CA
// --------------------
#100 // Time=20800 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b11001011; //CB
// --------------------
#100 // Time=20900 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b11001100; //CC
// --------------------
#100 // Time=21000 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b11001101; //CD
// --------------------
#100 // Time=21100 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b11001110; //CE
// --------------------
#100 // Time=21200 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b11001111; //CF
// --------------------
#100 // Time=21300 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b11010000; //D0
// --------------------
#100 // Time=21400 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b11010001; //D1
// --------------------
#100 // Time=21500 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b11010010; //D2
// --------------------
#100 // Time=21600 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b11010011; //D3
// --------------------
#100 // Time=21700 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b11010100; //D4
// --------------------
#100 // Time=21800 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b11010101; //D5
// --------------------
#100 // Time=21900 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b11010110; //D6
// --------------------
#100 // Time=22000 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b11010111; //D7
// --------------------
#100 // Time=22100 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b11011000; //D8
// --------------------
#100 // Time=22200 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b11011001; //D9
// --------------------
#100 // Time=22300 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b11011010; //DA
// --------------------
#100 // Time=22400 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b11011011; //DB
// --------------------
#100 // Time=22500 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b11011100; //DC
// --------------------
#100 // Time=22600 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b11011101; //DD
// --------------------
#100 // Time=22700 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b11011110; //DE
// --------------------
#100 // Time=22800 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b11011111; //DF
// --------------------
#100 // Time=22900 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b11100000; //E0
// --------------------
#100 // Time=23000 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b11100001; //E1
// --------------------
#100 // Time=23100 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b11100010; //E2
// --------------------
#100 // Time=23200 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b11100011; //E3
// --------------------
#100 // Time=23300 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b11100100; //E4
// --------------------
#100 // Time=23400 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b11100101; //E5
// --------------------
#100 // Time=23500 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b11100110; //E6
// --------------------
#100 // Time=23600 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b11100111; //E7
// --------------------
#100 // Time=23700 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b11101000; //E8
// --------------------
#100 // Time=23800 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b11101001; //E9
// --------------------
#100 // Time=23900 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b11101010; //EA
// --------------------
#100 // Time=24000 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b11101011; //EB
// --------------------
#100 // Time=24100 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b11101100; //EC
// --------------------
#100 // Time=24200 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b11101101; //ED
// --------------------
#100 // Time=24300 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b11101110; //EE
// --------------------
#100 // Time=24400 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b11101111; //EF
// --------------------
#100 // Time=24500 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b11110000; //F0
// --------------------
#100 // Time=24600 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b11110001; //F1
// --------------------
#100 // Time=24700 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b11110010; //F2
// --------------------
#100 // Time=24800 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b11110011; //F3
// --------------------
#100 // Time=24900 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b11110100; //F4
// --------------------
#100 // Time=25000 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b11110101; //F5
// --------------------
#100 // Time=25100 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b11110110; //F6
// --------------------
#100 // Time=25200 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b11110111; //F7
// --------------------
#100 // Time=25300 ns
tab_di = 17'b00000000011110100; //F4
tab_WrAddr_i = 8'b11111000; //F8
// --------------------
#100 // Time=25400 ns
tab_di = 17'b00000000010001000; //88
tab_WrAddr_i = 8'b11111001; //F9
// --------------------
#100 // Time=25500 ns
tab_di = 17'b00000000010011010; //9A
tab_WrAddr_i = 8'b11111010; //FA
// --------------------
#100 // Time=25600 ns
tab_di = 17'b00000000010101100; //AC
tab_WrAddr_i = 8'b11111011; //FB
// --------------------
#100 // Time=25700 ns
tab_di = 17'b00000000010111110; //BE
tab_WrAddr_i = 8'b11111100; //FC
// --------------------
#100 // Time=25800 ns
tab_di = 17'b00000000011010000; //D0
tab_WrAddr_i = 8'b11111101; //FD
// --------------------
#100 // Time=25900 ns
tab_di = 17'b00000000011100010; //E2
tab_WrAddr_i = 8'b11111110; //FE
// --------------------
#100 // Time=26000 ns
tab_di = 17'b00000000000000000; //0
tab_WrAddr_i = 8'b11111111; //FF
// --------------------
#100 // Time=26100 ns
we_tab_i = 1'b0;
tab_WrAddr_i = 8'b00000000; //0
// --------------------
#400 // Time=26500 ns
SpW_di = 9'b000000000; //0
// --------------------
#100 // Time=26600 ns
empty_IBUF_i = 1'b0;
// --------------------
#1500 // Time=28100 ns
SpW_di = 9'b100000000; //100
// --------------------
#100 // Time=28200 ns
SpW_di = 9'b100000001; //101
// --------------------
#100 // Time=28300 ns
SpW_di = 9'b000001101; //D
// --------------------
#100 // Time=28400 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=28500 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=28600 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=28700 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=28800 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=28900 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=29000 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=29100 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=29200 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=29300 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=29400 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=29500 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=29600 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=29700 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=29800 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=29900 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=30000 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=30100 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=30200 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=30300 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=30400 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=30500 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=30600 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=30700 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=30800 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=30900 ns
SpW_di = 9'b001010101; //55
empty_IBUF_i = 1'b1;
// --------------------
#700 // Time=31600 ns
SpW_di = 9'b010101010; //AA
empty_IBUF_i = 1'b0;
// --------------------
#100 // Time=31700 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=31800 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=31900 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=32000 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=32100 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=32200 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=32300 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=32400 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=32500 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=32600 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=32700 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=32800 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=32900 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=33000 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=33100 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=33200 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=33300 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=33400 ns
SpW_di = 9'b010101010; //AA
full_cell_i = 16'b0000000011111111; //FF
// --------------------
#1200 // Time=34600 ns
SpW_di = 9'b001010101; //55
full_cell_i = 16'b0000000000000000; //0
// --------------------
#100 // Time=34700 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=34800 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=34900 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=35000 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=35100 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=35200 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=35300 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=35400 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=35500 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=35600 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=35700 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=35800 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=35900 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=36000 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=36100 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=36200 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=36300 ns
SpW_di = 9'b100000000; //100
// --------------------
#100 // Time=36400 ns
SpW_di = 9'b000100011; //23
// --------------------
#100 // Time=36500 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=36600 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=36700 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=36800 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=36900 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=37000 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=37100 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=37200 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=37300 ns
SpW_di = 9'b010101010; //AA
// --------------------
#100 // Time=37400 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=37500 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=37600 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=37700 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=37800 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=37900 ns
SpW_di = 9'b001010101; //55
// --------------------
#100 // Time=38000 ns
SpW_di = 9'b001010101; //55
// --------------------
#1100 // Time=38110 ns
// --------------------
if (TX_ERROR == 0) begin
$display("No errors or warnings");
$fdisplay(TX_FILE,"No errors or warnings");
end else begin
$display("%d errors found in simulation",TX_ERROR);
$fdisplay(TX_FILE,"%d errors found in simulation",TX_ERROR);
end
$fclose(TX_FILE);
$stop;
end
task CHECK_rd_IBUF_o;
input NEXT_rd_IBUF_o;
#0 begin
if (NEXT_rd_IBUF_o !== rd_IBUF_o) begin
$display("Error at time=%dns rd_IBUF_o=%b, expected=%b",
$time, rd_IBUF_o, NEXT_rd_IBUF_o);
$fdisplay(TX_FILE,"Error at time=%dns rd_IBUF_o=%b, expected=%b",
$time, rd_IBUF_o, NEXT_rd_IBUF_o);
TX_ERROR = TX_ERROR + 1;
end
end
endtask
task CHECK_tab_d0_o;
input NEXT_tab_d0_o;
#0 begin
if (NEXT_tab_d0_o !== tab_d0_o) begin
$display("Error at time=%dns tab_d0_o=%b, expected=%b",
$time, tab_d0_o, NEXT_tab_d0_o);
$fdisplay(TX_FILE,"Error at time=%dns tab_d0_o=%b, expected=%b",
$time, tab_d0_o, NEXT_tab_d0_o);
TX_ERROR = TX_ERROR + 1;
end
end
endtask
task CHECK_we_cell_o;
input [15:0] NEXT_we_cell_o;
#0 begin
if (NEXT_we_cell_o !== we_cell_o) begin
$display("Error at time=%dns we_cell_o=%b, expected=%b",
$time, we_cell_o, NEXT_we_cell_o);
$fdisplay(TX_FILE,"Error at time=%dns we_cell_o=%b, expected=%b",
$time, we_cell_o, NEXT_we_cell_o);
TX_ERROR = TX_ERROR + 1;
end
end
endtask
task CHECK_cfg_SMX_o;
input [3:0] NEXT_cfg_SMX_o;
#0 begin
if (NEXT_cfg_SMX_o !== cfg_SMX_o) begin
$display("Error at time=%dns cfg_SMX_o=%b, expected=%b",
$time, cfg_SMX_o, NEXT_cfg_SMX_o);
$fdisplay(TX_FILE,"Error at time=%dns cfg_SMX_o=%b, expected=%b",
$time, cfg_SMX_o, NEXT_cfg_SMX_o);
TX_ERROR = TX_ERROR + 1;
end
end
endtask
endmodule